知识屋:更实用的电脑技术知识网站
所在位置:首页 > 电脑软件 > 文件管理

fpga验证软件lady(EziDefpga验证软件lady(EziDe官方下载

本地多线下载
共有235次下载

fpga验证软件lady(EziDe介绍 下载地址

fpga验证软件lady(EziDe 截图 fpga验证软件lady(EziDebug )EziDebug的软件界面简单友好,有简约和完整两个界面形式,使用前端显示与FPGA工程软件无缝结合。该软件主要功能分为寄存器链插入、数据采集和导出、重建testbench和软件仿真验证等四个部分。EziDebug支持Altera的QuartusII软件平台,同时也支持Xilinx的ISE。寄存器fpga验证

  • fpga验证软件lady(EziDebug )EziDebug的软件界面简单友好,有简约和完整两个界面形式,使用前端显示与FPGA工程软件无缝结合。该软件主要功能分为寄存器链插入、数据采集和导出、重建testbench和软件仿真验证等四个部分。EziDebug支持Altera的QuartusII软件平台,同时也支持Xilinx的ISE。寄存器fpga验证

    EziDebug的软件界面简单友好,有简约和完整两个界面形式,使用前端显示与FPGA工程软件无缝结合。该软件主要功能分为寄存器链插入、数据采集和导出、重建testbench和软件仿真验证等四个部分。EziDebug支持Altera的QuartusII软件平台,同时也支持Xilinx的ISE。寄存器链的插入基于VHDL和Verilog HDL硬件描述语言,在RTL级硬件代码的每个寄存器附加一个采样寄存器,在触发条件下进行数据采样,该数据导出之用于软件仿真时寄存器的数值重建,重现FPGA运行过程中内部的真实信号波形。仿真验证通过比较输出结果来判断硬件运行正确与否。

    EziDebug 是一款用于支持FPGA硬件验证的辅助软件,从全可视化角度支持FPGA工程的调试,简化繁杂的调试过程,通过该软件能很快查找出代码中的错误,加快硬件验证的进度。整个软件安装方便,界面简洁,操作简单快捷,占用的系统资源很少,在使用的整个过程中,只需要使用不到十个的按键控件即能完成所有操作。

    fpga验证软件lady(EziDebug )按钮功能

    双击图标后,用户可以看到由两个对话框组成的界面,最上面的界面即主要操作面板如图1所示。各个按钮图标的功能如下:

    1、工程设置:设置工程的具体选项,比如:工程的工作目录,扫描链中寄存器最大个数,工程是xilinx还是altera的工程等。

    2、全部更新:全部扫描工程文件,重新提取module的端口信号,寄存器信息等。

    3、快速更新(部分更新):只扫描和更新工程文件中比存储日期新的文件。

    4、删除所有链:删除工程文件中所有添加的链信息。

    5、生成testbench:此选项会跳出对话框,需要输入signaltap生成文件名,并选择该文件对应的链,然后生成testbench。

    6、撤销上一步操作:只恢复上一次操作前的文本原貌。(注意:不支持多次撤销)

    7、更新提示:当用户修改了代码与软件进行上一次进行更新操作的代码不一致时进行提示。

    8、最小化窗口按钮

    9、迷你窗口按钮

    10、下拉窗口显示与隐藏切换按钮

    11、关闭窗口按钮

     

  • 下载地址Everything多语版 1.4.1.921官方下载64位下载地址

    极速下载

    极速下载一